#Status LED #DS19 set_property PACKAGE_PIN E15 [get_ports m00_axi_txn_done] set_property IOSTANDARD LVCMOS25 [get_ports m00_axi_txn_done] #DS20 set_property PACKAGE_PIN D15 [get_ports m00_axi_error] set_property IOSTANDARD LVCMOS25 [get_ports m00_axi_error] #DS21 set_property PACKAGE_PIN W17 [get_ports gpio_io_o] set_property IOSTANDARD LVCMOS25 [get_ports gpio_io_o]